module counter2bit(clock, Reset, Q); //Port modes input clock, Reset; output [1:0] Q; //Registered identifiers reg [1:0] Q; //Functionality always @ (posedge clock or posedge Reset) if (Reset == 1) Q<=0; else Q<=Q+1; endmodule