module adder(X,Y,sum); //Port modes input [3:0] X,Y; output [3:0] sum; //Registered identifiers reg [3:0] sum; //Functionality always @ (X or Y) sum<=X+Y; endmodule